澎湃Logo
下载客户端

登录

  • +1

半导体设备行业深度报告:国产突破正加速

2020-05-13 02:49
来源:澎湃新闻·澎湃号·政务
字号

一、关键制程设备本土均有突破,晶圆产线建设驱动国产替代

1.1 本土晶圆制造环节能力逐步提升,大力布局存储/代工/特色工艺等领域

芯片制造能力是实现国家集成电路乃至信息产业自主可控的关键,晶圆制造和封测,以及上游配套的 设备与材料是基础。目前国家集成电路产业基金一期撬动各地方政府总投资约 5000 亿元,支持集成电路 各环节发展,其中晶圆制造和封测产线是重点,而二期也已正式开始,预计带动万亿资本,届时存储、先 进制程等晶圆线将继续重点投入,此外将加大设备材料环节扶持力度。根据现有规划,2017-2020 年全球 新建晶圆线中约 45%位于中国大陆,与晶圆制造相配套的上下游环节,本土厂商将迎来替代与发展良机。

晶圆代工产能方面,2017 年全球代工营收约 623 亿美金,中芯国际、华虹半导体等本土晶圆代工企 业营收约 50 亿美金,全球代工产值占比约 8%,代工份额提升空间巨大。随着新建产线产能释放,未来三 年大陆晶圆制造产能将迅速提升,大陆本土公司晶圆制造产值有望增至 2022 年的 145 亿美金,复合增速 高达 20%,高于同期大陆 IC 制造总产值(涵盖非本土厂商)的 13%复合增速。

晶圆制程方面,本土代工在 45nm/40nm 以上成熟制程已具备实力,28nm 以下的先进制程正努力缩 小差距。中芯国际的 28nm 制程 17Q4 量产,14nm 在 2019Q3 量产,12nm 开始客户导入。华力微 19 年底 突破 28nm HKC+工艺,2020 年将量产 14nm。就 14 纳米而言,中芯国际与台积电/三星/GF 差距逐渐缩小。在先进制程上,目前台积电 7nm 芯片占营收 35%,而 5nm 预计在 2020 年达到 10%,三星 7nm 已在 19Q4 量产,5nm 预计今年量产;综合考虑市场需求与性价比,GF 与联电放弃 10nm 以下,专攻 14nm/12nm 制 程。中芯等国内代工企业在 28nm 及以上制程技术较成熟,有望争夺市场份额,14nm 制程有望逐渐上量。

28 纳米属于单位逻辑闸成本最低的技术节点,长周期制程属性明显,17 年单是 28nm 制程全球规模约 110 亿美金,28nm 及以上规模占比 76%,市场空间约 470 亿美金,国内厂商在 28nm 及以上制程发展潜力 巨大。而 14nm 制程主要用于中高端 AP/SoC、GPU、矿机 ASIC、FPGA、汽车 IC 等,市场规模稍高于 28nm, 但整体竞争不激烈。中短期看,中芯国际、华力微等在 28nm 及以上制程具备竞争力,有望与 GF 和联电 竞争。中芯国际 19Q4 营收 28nm 占比约 5%,40/45nm 约 16%,55/65nm 约 31%,0.15/0.18um 占比 35%。14nm 首次贡献营收,占比约 1%,28nm 和 14nm 营收占比提升空间较大。随着 28nm 制程逐渐成熟,28nm 及以上能满足大部分 IC 产品制程需求,是国内代工企业主战场,而 14nm 技术突破后也将逐渐量产出货。

根据规划中国地区新增 Fab 线合计投资约 1.3 万亿元,满产后产能超 200 万片/月,较目前产能提升 3 倍以上。2018-2021 年是大陆产线投入与产能爬坡的密集期,2020 年将迎来诸多晶圆新线建设,包括新项 目落地,以及已有项目的二期建设或扩产开工,本土半导体资本开支持续维持高位。

存储是新线重点,投资占比高达 65%,其中本土存储占比 48%(紫光/长江存储/合肥睿力/福建晋华), 非本土(Intel/三星/海力士)为 17%。而中芯/华力微/芯恩/粤芯等本土代工投资占比为 17%,台积电/联电/GF 等非本土代工占比 11%,剩余 7%为士兰微(14.780, 0.09, 0.61%)、华润微(39.790, 0.28, 0.71%)、积塔等功率器件/特色工艺等。其中 96%为 12 寸 线建设,功率器件等相关的 8 寸线占比仅 4%。规划涉及设备投资总额约 8800 亿元,根据建设进度预计设 备订单释放时间,对应 2017-2021 年每年半导体设备需求约 769、1551、1504、1719、1427 亿元。

1.2 半导体设备亟需国产化率提升,晶圆产线建设驱动本土配套机遇

设备属于半导体产业链上游,一般需要提前 1 年下单订货,是未来晶圆制造产能供给先行指标。随着 制程往先进演进,对设备性能和稳定性要求提升,具备高壁垒、高毛利率、验证周期长等特点。芯片制造 过程包括,硅片制造、晶圆制造、封装检测等不同环节,对应设备为硅片制造设备(长晶、研磨、抛光)、 晶圆前道设备(光刻、涂胶显影、刻蚀、PVD/CVD、氧化扩散热处理、离子注入、CMP、清洗、过程控制)、 晶圆后道设备(焊线机、分选机、探针台、划片机)、辅助设备(搬运仓储、气体纯净系统)等。

2019年全球半导体设备市场约576亿美金,大陆地区约130亿美金,占比约22.4%, 高于韩国的 18%。2019 年泛半导体设备国产率约 16%,IC 设备国产化率约 5%,其中大陆进口半导体设备 中,金额占比最高的为镀膜设备,占比 32%(化学气相沉积 23%+物理气相沉积 9%),其次是刻蚀设备 18%, 其次是引线键合机 12%,剩下氧化扩散炉、光刻机、离子注入机、化学抛光机等设备占比约 10%、9%、4%、 4%。镀膜与刻蚀两环节设备合计约 50%,是国产替代的主力领域,相关公司有望从中受益。

国内半导体设备企业技术逐渐成熟,加上近年来本土晶圆产线建设力度加大,各环节设备企业迎来高 速成长。北方华创(156.150, -2.11, -1.33%)、中微公司(188.800, 5.31, 2.89%)、至纯科技(37.360, 0.41, 1.11%)、长川科技(26.510, 0.05, 0.19%)、精测电子(69.160, -1.44, -2.04%)、晶盛机电(23.460, 0.02, 0.09%)、华兴源创(42.910, -0.69, -1.58%)、盛美半导体、屹 唐等半导体设备公司,2016-2019 年营收复合增速基本超 30%。未来三年是大陆多条晶圆线新建时期,年 均设备需求在千亿元。中长期看,到 2021 年,大陆半导体设备需求全球占比有望达 25%,超过韩国和中国台湾的 22%,成为全球最大需求地区,国内设备厂商有望在部分环节迎来本土替代机会。

从晶圆线投资额细分看,半导体设备投资占产线总投资的 75%-80%。而设备投资中,晶圆制造环节占 比约 80%,封装环节占比约 6%,测试环节占比约 9%。晶圆制造相关设备中,光刻/镀膜/刻蚀等环节占比 较高,分别为 24%、20%、16%,而离子注入、工艺检测、晶圆加工其他占比为 4%、8%、8%。考虑市场空 间及技术成熟度,刻蚀/镀膜环节国内厂商替代潜力较大,国内刻蚀设备龙头、中微公司有望份额提升。

随着大陆晶圆产线建设,本土晶圆代工产能有望提升 3 倍,大陆晶圆制造商的市占率攀升,最终将带 动本土上游配套的设备企业“共生增长”。以日本为例,统计制造业和设备业两者市场份额变化,两者的相 关性非常高。随着日本制造企业在全球产业链崛起,日本设备企业由于本土化优势,享受配套机遇。大陆 本土设备厂商也有望复制同等上升路径。

我们统计了 2017-2021 年大陆规划建设的晶圆线,结合产线各自投产进度及各环节设备投资占比,测 算本土产线新建带来的半导体设备总需求空间。(仅考虑目前已披露的产线计划)

晶圆制造环节一:光刻环节的光刻机、电子束曝光、涂胶显影、去胶设备的空间增量为 1276 亿/63 亿 /314 亿/49 亿元;刻蚀设备中介质刻蚀、硅刻蚀、金属刻蚀、化合物半导体刻蚀、电子束刻蚀对应增量为 535 亿/513 亿/45 亿/11 亿/11 亿;镀膜设备中的 PVD、ALD、CVD/LPCVD、PECVD、VPE 对应的空间为 287 亿/85 亿/287 亿/278 亿/123 亿。

晶圆制造环节二:离子注入设备中高电流注入、中等强度离子注入、高能量离子注入的空间为 156 亿 /89 亿/34 亿元;晶圆检测设备中的光学图形化晶圆检测、光罩检测、缺陷检测与分类、关键尺寸检测的对 应增量为 167 亿/78 亿/39 亿/50 亿元;清洗设备中喷淋式清洗台、自动清洗台的增量为 381 亿/102 亿元。

封测环节:封装设备焊线机、粘片机、光刻/SOD/塑封、划片机、贴片机、封装切割机空间为 130 亿 /50 亿/54 亿/38 亿/25 亿/25 亿元;测试设备探针台、分选机、SOC 测试机空间为 102 亿/110 亿/282 亿元。

目前国内设备厂商 28nm 产线设备批量供应,14nm 逐步客户验证。北方华创的 28nm 刻蚀机落户中 芯和华力微;28nm PVD 被中芯北京厂指定为 28nm 制程 Baseline 机台、AI Pad PVD 被用于武汉新芯 NAND 产线关键制程环节;12 英寸单片清洗机、氧化炉、单片退火设备等均已进驻中芯 28nm 生产线;14nm 等 离子硅刻蚀机、单片退火系统、LPCVD 已成功进入主流代工厂;多款 10nm 设备处于研发中。中微 16nm 介质刻蚀机已在诸多产线上运行,是唯一进入台积电7nm/5nm制程蚀刻设备名单的大陆设备商,此外1Xnm 的 DRAM 和 128 层以上的 3D NAND 芯片等 ICP 刻蚀设备也正在研发。目前国内代工厂 14nm 工艺已逐步量 产,后期有望逐渐加大国产设备比例。看好国产设备在长周期节点属性的 28nm 产线份额提升,中芯国际/华力微 14nm 制程能力已经具备,有望逐渐上量产能,提前布局 14nm 的设备商有望占据先机。

1.3 关键制程设备本土均有布局, 优势环节份额提升估计劣势环节逐渐突破

全球半导体设备市场集中度较高,Top5 设备厂商合计份额约 80%。2019 年前五设备厂商营收分别为 应用材料(AMAT)135 亿、阿斯麦(ASML)128 亿、东京电子(TEL)96 亿、泛林(LAM)95 亿、科磊(KLA) 47 亿美金,对应市场份额为 21%、20%、15%、15%、7%。ASML 产品较为单一,几乎垄断了光刻机市场, 而 AMAT 则是在刻蚀、PVD/CVD 镀膜、热处理、离子注入、CMP 等多环节市占率领先,产品线最为丰富。

半导体设备主要分为晶圆前道设备和后道封测设备,部分环节国产化率提升明显。其中晶圆前道设备 中,刻蚀机(140 亿美金,占比 25%)、 CVD/PVD/ALD 镀膜机(125 亿美金,23%)、光刻机(125 亿美金, 20%)为市场规模前三大设备种类,随后是涂胶显影+去胶(25 亿美金,4%),热处理(18 亿美金,3%), 离子注入(16 亿美金,3%), CMP(20 亿美金,4%),清洗(30 亿美金,6%)、量测设备(60 亿美金,10%)。而后道封测主要设备包括测试机(30 亿美金,6%),探针台(10 亿美金,2%),分选机(9 亿美金,2%)。其中,本土产线设备需求的国产化情况看,晶圆前道环节的刻蚀(20%)、PVD(10%-15%)、热处理(15%-20%)、 清洗(20%)、 CMP(15%)、去胶设备(80%)等相对其他环节的国产化率较高,均高于 15%;而光刻机、 涂胶显影、CVD、ALD、离子注入、量测设备均不足 5%。后道封测环节的测试机、分选机、探针台的本土 配套率也不足 5%。

大基金一期对设备材料投资占比仅 4%,有重点对部分环节的优质设备公司进行支持,二期预计将加 大对半导体设备的扶持范围和力度。其中,晶圆前道设备,对刻蚀、镀膜、清洗、离子注入、量测环节相 关的北方华创、中微公司、沈阳拓荆、盛美半导体、万业企业(19.440, 0.29, 1.51%)(凯世通)、上海精测、上海励睿进行了股 权投资,截止目前持股比例分别为 10.03%、17.45%、35.3%、4.58%(普通股)、7%、15.4%、12.12%。后 道封测设备,持有测试分选环节的长川科技 9.85%股份。截止目前,光刻相关的光刻机、涂胶显影、去胶 设备环节大基金目前尚未入股,上海微电子、沈阳芯源、屹唐等有望后续得到支持。其余清洗、测试环节 的至纯科技、华峰测控(239.650, 0.76, 0.32%)等优质标的也有望迎来机会。

从技术水平来看,刻蚀、镀膜、清洗设备的国产化水平已接近国际主流厂商,在先进的 28/14nm 晶圆 代工产线和 3D NAND 等存储产线批量应用,中微的介质刻蚀机更是进入台积电的 7nm/5nm 产线。而光刻、 离子注入、量测设备相对较国际主流水平有一定差距。国产光刻机目前最高到 90nm 制程节点,在功率等特色工艺线上有所突破;离子注入主要在光伏及 45-22nm 低能大束流方面取得突破;量测设备主要集中在 膜厚等关键尺寸测量上。而其他封测设备如探针台、测试机、分选机等在数字芯片等先进应用上仍有差距。

对于半导体设备国产化的机会,我们认为突破容易程度为: (1)产品上,功率器件>数字模拟器件>逻 辑芯片;(2)制程上,特色工艺>成熟制程(28nm 及以上)>先进制程;(3)尺寸上,4-6 寸>8 寸> 12 寸。

从本土晶圆线最新设备招标数据看,2019 年度,8 英寸特色工艺为代表的积塔半导体国产化设备采购 率为 34%,高于华虹无锡的 12 寸成熟工艺线(90-65/55nm)的 27%国产化率,更高于华力微的 12 寸先进制程(90-65/55nm)产线的 9%。而 2019 年由于长江存储处于一期量产关键阶段,整体依然以存储制程突 破为主,设备国产化率较低为 7%,2020 年扩产时的新采购设备其国产化率大幅提升,提升至 15.7%。

具体设备环节来看,刻蚀、镀膜、氧化扩散热处理、清洗等环节相对国产化率较高,基本上超过 15%;光刻、离子注入、过程工艺、测试等设备国产化率较低,不超过 5%;上海微电子在积塔 8 寸特色产线取 得光刻设备供应的突破。随着长江存储的工艺成熟度提升,3D NAND 产线的设备采购国产化率从 2017 年 的 2.2%,2018 年 9.6%,2019 年 7.2%,提升至 2020 年的 15.7%。其中,刻蚀设备、清洗设备、研磨抛光 设备、氧化扩散设备等国产化率这几年来显著提升。

大力发展晶圆产线给本土设备企业带来配套机会。先进/成熟制程产线包括:中芯北方(12 寸 28nm)、 中芯南方(12 寸 14nm),华力集成二期(12 寸 28/14nm);华虹无锡(12 寸 90-65nm),士兰微厦门(12 寸 90nm), 晶合集成(12 寸 180-55nm)、万国重庆(12 寸 90nm)、 粤芯和青岛芯恩(12 寸 180-130nm)。

特色工艺线:中芯国际绍兴/宁波/天津(8 寸)、士兰微(8 寸)、积塔上海(8 寸)、燕东微北京(8 寸)等。8 英寸晶圆代工涉及功率、模拟、CIS、电源管理等产品,下游需求稳健,叠加 8 寸产能有限,本土企业在特色工艺具备竞争力,有望迎来市占率提升,带动特色工艺相关设备的营收提升。

存储产线:长江存储、合肥睿力在 2020 年进入产能爬坡期,带动设备需求大增。19 Q4 长江存储产能 在 2 万片/月,2020 年底扩产至 5 万片/月,2021 年底到 10 万片/月,2023 年扩产至 30 万片/月。合肥睿 力一期产能 12 万片/月,目前 2 万片/月,2020 到 4 万片/月,后续将视情况进行扩产计划。此外,紫光集 团在重庆计划设立 DRAM 产线,在南京/成都设立存储基地等。存储作为大类标准品,对成本敏感,定制 化需求较少,对设备要求相对数字逻辑芯片较低,本土存储企业长期规划清晰,设备企业有望持续受益。

国内半导体设备厂商在各自优势环节逐渐突破本土不同类型的晶圆产线。中微公司的介质刻蚀机在华 力微、华虹无锡、长江存储份额维持在 15%左右;北方华创的氧化/扩散/热处理设备在存储产线份额达 32%, 在华力微和华虹无锡分别为 6.3%和 3.8%,而刻蚀和薄膜沉积相对份额较小;盛美半导体的清洗设备份额 稳定,在三条晶圆线分别维持在 14%-18%;华海清科的 CMP 设备份额在华虹无锡和长江存储分别为 22.2% 和 14.9%,在华力微为 9.1%;其他屹唐、沈阳拓荆、沈阳芯源、北京中科信、上海睿励、上海精测在热处 理设备、镀膜设备、涂胶显影设备、离子注入、膜厚测量等设备上占据一定份额,提升空间较大。

本土半导体设备厂商在光刻、刻蚀、镀膜、氧化扩散、清洗、抛光、离子注入等环节基本实现全覆盖。 越来越多的上市公司以及非上市公司发力半导体设备领域。其中,涉及到曝光/光刻企业有 4 家,涂胶显影 有 10 家, CVD 企业 9 家, PVD 企业 6 家,其他成膜设备企业 6 家,干法刻蚀设备企业有 10 家,湿法腐 蚀设备企业 12 家,热处理设备企业 8 家,CMP 设备厂商仅有 2 家,离子注入设备厂商也仅有 2 家,计量 检测设备厂商 10 家,仓储搬运设备 4 家。随着政策与资金支持,在本土晶圆新建对设备需求带动下,越 来越多的设备公司有望发展壮大。

二、晶圆制造设备部分环节具备竞争力,其余环节已有份额突破

2.1 光刻环节:本土已有初步突破,距国际水平仍有较大差距

2.1.1 光刻机设备:封装/LED 光刻机相对成熟,IC 前道/面板光刻机仍有差距

IC 前道晶圆制造为集成电路产业链的核心环节,晶圆制造包括光刻、刻蚀、离子注入、薄膜生长、扩 散、抛光、退火、检测等主要环节,其中光刻环节是其核心。光刻机是一种将预先设计好的芯片图形转印 至硅片或者其他材质基底上的大型精密量产型加工制造设备。光刻机光刻或者曝光精度直接决定了整个半 导体制造工艺的节点,其昂贵的价格也导致光刻机是整个半导体制造产线投资最主要的组成部分。

在实际的光刻工艺过程中,既要保证纳米级的曝光精度,同时也要保证高的产率以实现其足够的经济 性,光刻机设备会十分复杂,其分系统和部件制造的工程实现难度极高。典型光刻机设备包括:超低像差 投影物镜系统、高精度匀光曝光系统、精密镜像扫描或步进掩膜台系统、高速硅片传输系统、纳米级对准 系统、精密调焦调平系统、大负载高精度高速工件台系统、亚纳米双频激光干涉位移测量系统、主动隔振 系统、精密温度控制系统和分布式多级复杂整机控制系统。按照应用领域,可分为 IC 前道晶圆制造、IC 后道先进封装、平板显示和高亮度 LED 等领域。其中,IC 前后道晶圆制造同属于集成电路领域,不管是遵 循摩尔定律还是超越摩尔,IC 前道光刻设备分辨率以及 IC 后道先进封装技术的提升都依赖光刻精度。

IC 晶圆前道制造光刻流程包括:先放置在掩膜板库里,通过掩膜传输系统放置在掩膜台,晶圆通过硅 片传输系统传入工件台,调焦调平系统对硅片进行调焦调平,对准系统对硅片对准,然后工件台、掩膜台、 曝光系统在整机控制系统的控制下完成整个晶圆的扫描或者步进式曝光,曝光完成后由硅片传输系统传出。

随着分辨率需求不断微缩,根据所使用的光源的改进,光刻机经历了 5 代产品的发展。光刻机主要指 标为分辨率、套刻精度和产率,未来的发展趋势将主要围绕以上三个指标。照明波长不断变短、物镜的数 值孔径不断变大,使得光刻机的分辨力能力不断提高,当前 EUV 分辨率达到 13nm,通过多重曝光能够实 现 7nm 工艺制造,并向 5nm 和 3nm 技术节点前进。同时,套刻精度随着分辨率不断提高,产率不断优化, 使得芯片整体生产成本持续降低。

全球光刻机市场来看,ASML 一家独大。其光刻机出货量占据全球 70%以上份额,尤其在 EUV 光刻机、 ArF 浸没式光刻机领域基本上处于垄断地位;Canon 和 Nikon 的光刻机出货量份额合计约 30%,主要集中在 平板显示领域,在 I line 和 KrF、ArF 光刻机占据一定份额;而美国 Ultratech 主要是后道封装和 LED 封装光 刻机为主,封装和 LED 光刻机的单台价值量相对晶圆前道、平板显示光刻机较低,竞争壁垒也相对较低。

ASML 成立于 1984 年,总部位于荷兰,是全球最大的半导体设备制造商之一,为全球绝大多数集成电 路生产企业提供光刻机及相关服务,拥有全球最先进的光刻技术水平,主要核心竞争力为双工件台、浸没 式光刻应用和 EUV 光刻。ASML 所生产的 EUV 光刻机能够满足 5nm/7nm 工艺制程。根据 ASML 年报披露, 其 2015 年至 2017 年 IC 前道晶圆制造光刻机销售台数分别为 169 台、157 台和 198 台,在 IC 前道制造领 域处于绝对垄断地位。2019 年 ASML 交付 26 台 EUV 光刻机,远超 18 年的 18 台,预计 2020 年交付 35 台 EUV 光刻机,2021 年则会达到 45-50 台的交付量,是 2019 年的两倍左右,单台售价超过 1 亿美金。

不同光刻领域布局看,ASML、Nikon、Canon 以及大陆上海微电子四家企业具备晶圆前道能力。而在 后道封装/LED 光刻上,上海微电子、奥地利 EVG、德国 SUSS、美国 Veeco、美国鲁道夫等有产品线覆盖, 而本土除上海微外还有中电科 48 所、中电科 45 所等参与晶圆前道光刻机研发。本土其他与光刻相关厂商主要集中在掩膜对准、电子束曝光、激光直写等环节,包括影速光电、金盛微纳、新诺科技、合肥芯碁等。核心光刻机曝光系统部件的大陆涉及单位包括国望光学、长春国科精密光学、上海/长春光机所等,光刻双 工件台大陆厂商有北京华卓精科,是继 ASML 后成为世界上第二家掌握双工件台核心技术的公司。

随着先进制程从10nm往5nm/3nm节点演进,逻辑芯片晶圆制造中光刻价值占比从25%提升至35%+, 提升较明显。DRAM 从 1X 往 1A 演进,也将带动光刻价值占比从 24%提升至 27%。设备端看,EUV 和 ArFi 光刻机占比约 8 成,其中 EUV 光刻占比提升显著,国内厂商有望从 ArF 和 KrF、I-Line 光刻机等逐步切入。

上海微电子:是国内第一家、全球少数几家掌握中高端光刻机技术的公司,产品广泛应用于集成电路 产业链 IC 前道晶圆制造、IC 后道先进封装、平板显示以及高亮度 LED 等领域。在 IC 前道制造领域,完成 我国首台 90nm 节点 ArF 光刻样机的研制和上线验证,目前正在研制下一代 28nm 工艺节点用浸没光刻机;IC 后道先进封装光刻机在大陆市场处于主导地位,全球市场也领先;平板显示领域,公司曝光机(光刻机) 已进入本土面板产线;在高亮度 LED 领域,公司研制的 LED 光刻机在大陆以及全球市场均处于主导地位。

2.1.2 涂胶显影设备:整体国产化率不足 5%,芯源微(124.480, 7.12, 6.07%)/盛美国内占据一定市场

光刻工艺流程为:脱水烘烤→旋转涂胶→软烘→曝光→曝光后烘烤→显影→坚膜烘烤→显影检查。各 步骤如下: (1)旋转涂胶:通过旋转方式在硅片涂上液相光刻胶材料;(2)软烘:涂胶后,对硅片进行软 烘,除去光刻胶中残余的溶剂,提高光刻胶的粘附性和均匀性;(3)曝光:使用紫外光照射,未受掩膜遮 挡部分的光刻胶发生曝光反应,实现电路图从掩膜到硅片上的转移;(4)曝光后烘烤:以一定温度烘烤曝 光后的硅片,目的是降低驻波效应的影响以及使化学反应更充分;(5)显影:使用化学显影液溶解由曝光 造成的光刻胶可溶解区域,使可见图形出现在硅片,并区分需要刻蚀的区域和受光刻胶保护的区域;(6) 坚膜:对显影后的光刻胶加热烘干,促使光刻胶与硅片粘着牢固,提高其强度;(7)显影检查:区分那些 有很低可能性通过最终掩膜检验的晶圆,提供工艺性能和工艺控制数据及分拣出需要重做的晶圆。

涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机(又称涂布机、 匀胶机)、喷胶机和显影机。在早期的集成电路和较低端的半导体制造工艺中,此类设备往往单独使用(Off Line)。随着集成电路制造工艺自动化程度及客户对产能要求的不断提升,在 200mm 及以上的大型生产线 上,此类设备一般都与光刻设备联机作业(In Line),组成配套的圆片处理与光刻生产线,与光刻机配合 完成精细的光刻工艺流程。

按工艺环节不同,涂胶显影设备可分为前道涂胶显影设备和后道涂胶显影设备。涂胶显影设备完成晶 圆的光刻胶涂覆、固化、显影、坚膜等工艺过程,直接影响到光刻工序细微曝光图案的形成,显影工艺的 图形质量对后续蚀刻和离子注入等工艺中图形转移的结果也有着深刻的影响。按照工艺环节不同,涂胶显 影设备可分为前道涂胶显影设备(晶圆加工)和后道涂胶显影设备(封装测试)。前道涂胶显影设备与光 刻工艺相关,光刻工艺可分为 g-line、i-lina、KrFi(沉浸式)、ArF、ArFi(沉浸式)和 EUV 等,ArF 和 KrF 涂胶显影设备占比较高,例如长江存储产线招标以 ArF 和 KrF 为主。后道涂胶显影设备与封装形式有 关,传统封装形式有 SIP(单列直插)、DIP、SOT、TO 等,先进封装有 FC、WLP、2.5D 和 3D 封装等。

涂胶显影机市场规模约 23 亿美元,EUV 成为未来几年市场增长的主要驱动力。涂胶显影设备市场规 模自 2013 年以来逐步增长,2018 年达 23 亿美元(其中,中国大陆和中国台湾地区合计为 9 亿美元),同比增 长 28%,2013-2018 年 CAGR 达 11%。VLSI 预计 2023 年涂胶显影设备市场规模将达到 25 亿美元,TEL 预计 2022 年达到 25 亿美元。从工艺看,ArF 和 KrF 占有涂胶显影设备市场约 50%的份额,市场相对稳定,未来 几年随着 EUV 工艺渗透,EUV 涂胶显影设备将成为主要驱动力。

竞争格局方面,TEL 在全球占有绝对主导,芯源微在国内占有小部分市场。从全球市场来看,TEL 占 有 88%份额,其余厂商包括 Screen(5%)和 SEMES(6%)。而在中国市场,TEL 市场份额更高达 92%,其 余厂商如 Screen(1%)、 CANON(2%)、芯源微(3%)和盛美(2%)等份较低(盛美可生产用于 WLP 的半 导体涂层系统) 。分工艺环节看,前道设备方面,TEL 和 Screen 产品可覆盖所有制程,国内厂商尚未掌握 28nm 以下先进节点的 ArFi 沉浸式涂胶显影设备,产品在产能、平均故障时间、胶膜涂敷均匀度、显影精 细度、温控热处理精密度、工艺适应性等方面较弱;后道设备方面,芯源微技术水平与国外厂商接近,产 品在产能、平均故障时间、显影精细度、温控热处理精密度等方面持平,在胶膜涂敷均匀度方面部分弱于 国外厂商。

芯源微:前身为先进制造和韩国 STL 共同出资设立的沈阳芯源先进半导体,于 2008 年和 2012 年承担 国家 02 专项 “凸点封装涂胶显影、单片湿法刻蚀设备的开发与产业化”和“300mm 晶圆匀胶显影设备研发” 两个课题。其涂胶显影设备主要应用在后道先进封装和 LED,在先进封装市场占有 19%的市场份额。(略)

2.1.3 去胶设备:屹唐占据国内去胶机较大份额,芯源微/中电 45 所也在突破

去胶机:在半导体制造工艺中,光刻胶只是起到图形转移的媒介作用,在完成图形转移后,需要将光 刻胶完全去除,以避免残留的光刻胶影响后续工艺质量。去胶机主要用于圆片刻蚀后其表面作为阻挡层的 光刻胶的去除,适用于 50-300mm 圆片的处理。

去胶分为干法去胶和湿法去胶。干法去胶主要采用等离子体,利用氧等离子活性单原子氧与光刻胶反 应,生成易挥发物,达到去胶的目的。干法去胶适用于大部分工艺,去胶效率高、可靠性高、容易自动化, 但是残留物容易导致表面缺陷。湿法去胶采用有机溶剂和无机溶剂,利用溶解或化学反应等方法去胶。湿 法去胶不同溶剂有不同局限,一般作为干法去胶的补充,优点是工艺简单、去胶干净,缺点是去胶速度慢、 去胶液成本高且污染环境。

屹唐半导体占有全球去胶机市场 11%份额,国内市场自给率达 81%。全球去胶机整体市场约 5 亿美 金,占半导体设备市场约 1%,主要供应商包括 PSK、泛林半导体、Hitach、屹唐半导体、Ulvac 等,前五 大厂商占据 97%的市场份额,市场竞争相对集中。从国内部分晶圆产线招标情况看,屹唐半导体在国内占 主导地位,市占率达 81%,国产化率较高,泛林半导体和 Screen 分别占 17%和 2%。此外,国内厂商芯源 微和中电科 45 所也可生产去胶机。

屹唐半导体:成立于2015年,亦庄国投通过屹唐半导体以约3 亿美元收购美国半导体设备厂商Mattson。Mattson 为全球 12 英寸晶圆厂提供干法去胶、干法刻蚀、RTP(快速热处理) 、测量等设备,三类设备设备 在各自领域都位于世界前三,主要客户为全球领先的芯片制造厂商。(略)

2.2 刻蚀环节:中微和北方华创具备竞争力,份额有望持续提升

刻蚀(Etch)是 IC 制造中相当重要的工艺,成本仅次于光刻(刻蚀 20%,光刻 30%),与光刻相联 系的图形化处理。刻蚀,狭义上就是光刻腐蚀,先通过光刻将光刻胶进行光刻曝光处理,然后通过其它方 式实现腐蚀处理掉所需除去的部分。刻蚀是用化学或物理方法有选择地从硅片表面去除不需要的材料的过 程,其基本目标是在涂胶的硅片上正确地复制掩模图形。

按照原理不同,刻蚀可分为干法和湿法两种,其中干法刻蚀工艺占比 90%以上。干法刻蚀是用等离子 体进行薄膜刻蚀的技术,湿法刻蚀是将刻蚀材料浸泡在腐蚀液内进行腐蚀的技术,湿法刻蚀由于需要大量 对人体和环境有害的腐蚀性化学试剂,逐步被干法刻蚀替代。

按被材料不同,刻蚀可分为硅刻蚀、介质刻蚀和金属刻蚀等,其市场占比分别为 47%、48%和 3%。 介质刻蚀是用于介质材料的刻蚀,如二氧化硅,接触孔和通孔结构的制作需要刻蚀介质,而具有高深宽比 (窗口的深与宽的比值)的窗口刻蚀具有一定的挑战性。硅刻蚀应用于需要去除硅的场合,如刻蚀多晶硅 晶体管栅和硅槽电容。金属刻蚀主要是在金属层上去掉铝合金复合层,制作出互连线。

根据等离子体产生和控制技术的不同,可分为电容耦合等离子体(CCP)刻蚀机和电感耦合等离子体(ICP)刻蚀机。CCP 刻蚀利用电容耦合产生等离子体,一般用于介质刻蚀,涵盖逻辑芯片栅侧墙和硬掩 膜刻蚀、中段的接触孔刻蚀、后段的镶嵌式和铝垫刻蚀、3D NAND 的深槽、深孔和连线接触空的刻蚀等;而 ICP 刻蚀利用电感线圈耦合产生等离子体,一般用于硅刻蚀和金属刻蚀,涵盖硅浅沟槽,锗、多晶硅栅、 金属栅、应变硅、金属导线、金属 pad、镶嵌式刻蚀金属硬掩膜和多重成像。

随着集成电路制造线宽不断缩小、芯片结构 3D 化,互连层数增多,带动刻蚀和镀膜需求增多,从 2013 年之后,刻蚀设备在产线中价值占比显著提升。目前,全球刻蚀设备市场以介质刻蚀和硅刻蚀为主,分别 占比合约 48%和 47%,而金属刻蚀仅 3%,这与 2010 年后整个集成电路工艺从铝互连(刻蚀铝金属)转向 铜互联(刻蚀介质)有关,金属刻蚀与介质刻蚀此消彼长。

先进制程及芯片微缩带动设备需求,刻蚀行业迎增量。受限于 193nm 的浸没式光刻机限制,晶圆制造 向 7 纳米、5 纳米以及更先进的工艺发展,除了采用昂贵的 EUV 光刻机之外,14nm 及以下的芯片制造很 多都通过多重模板效应来实现制程微缩,刻蚀加工步骤增多。10nm 制程是关键节点,相较于 14nm,其刻 蚀步骤为 115 步,增加 77%,到 5nm 制程刻蚀步骤将是 14nm 的 2.5 倍及以上。全球刻蚀设备市场规模目前约 75 亿美金,2025 年有望增至 140 亿美金左右,年复合增长率为 11%,行业规模稳健增长。

全球范围看,刻蚀设备市场呈现三家独大局面,泛林半导体占据半壁江山。根据 TEL,泛林半导体市 场份额约 55%,东京电子其次,市占率约 20%,应用材料与东京电子相当,市占率约 19%。分设备类型看, 介质刻蚀设备领域全球巨头为东京电子,17 年市占率 52.4%,泛林位半导体居第二,17 年市占率为 40.1%, 两家独大。介质刻蚀是国内厂商中微公司的优势领域,主要采用 CCP 刻蚀,其 2017 年全球市占率为 2.5%, 18年预计在5%左右。干法刻蚀设备方面,2017年泛林半导体市占率为47.7%,位居第一,东京电子为26.6%, 位居第二,应用材料为 18.7%,位居第三,中微半导体刻蚀业务整体市占率约 0.6%。

整体来看,国内刻蚀机国产化率达到 18%,中微公司和北方华创贡献较多。根据中芯国际、长江存储 和合肥睿力的招标情况看,从中微采购的刻蚀机台数占整体刻蚀机台采购比例约 15-20%,逼近东京电子 和应用材料,进步明显。按材料分,国内介质刻蚀设备市场中,中微占有 25%份额,国产化率在各类半导 体设备中属于较高水平;而在硅刻蚀设备市场中,北方华创占有 15%市场份额。

相比国际大厂,国产刻蚀设备品类还不够完整,针对不同工艺的刻蚀设备逐步验证和量产。中微国际 大厂泛林半导体和应用材料可实现 CCP 和 ICP、介质/硅/金属刻蚀、7nm 及以上的全方位覆盖,中微公司 和北方华创尚未实现全覆盖。中微介质刻蚀设备较强,已经量产,客户包括台积电、三星、中芯国际等国 内外大厂,其用于多晶硅栅工艺的硅刻蚀设备正在验证中。北方华创在介质刻蚀和硅刻蚀设备均由布局, 用于浅槽隔离的介质刻蚀设备已量产,硅刻蚀设备也已量产,金属刻蚀设备也有布局,拥有中芯国际、华 虹、华力等国内一流客户。

2.3 镀膜环节:国内厂商布局全面初具实力,PVD 和 MOCVD 水平领先

薄膜生长是指采用物理或化学是物质附着于衬底材料表面的过程。根据工作原理的不同,薄膜沉积可 分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。薄膜生长需要兼顾薄膜的性能和成本, 生长速率、均匀性、薄膜应力控制、微粒杂质控制等均为薄膜生长工艺的关键指标。薄膜生长工艺广泛应 用于逻辑电路、存储器件、LED、功率器件、平板显示、MEMS 和先进封装等。

PVD 可分为蒸镀和溅射两种。蒸镀分为热蒸镀和电子束蒸镀两种。PVD 早期以热蒸镀为主,原理是在 真空中热蒸发金属材料使其沉积到衬底表面,缺点是难以满足某些难熔金属和氧化物的需要,沉积速率较 慢,合金比例难以控制,台阶覆盖不好,目前较少用于 IC 制程。而电子束蒸镀是指电子在电场加速下轰击 阳极的蒸发材料,使之受热气化,沉积到衬底表面,具备薄膜均匀性好,台阶覆盖好,合金比例控制较好, 产出较高等优点,主要用在一些中低阶的工艺产线。

随着晶圆尺寸增大,溅射技术逐步取代真空蒸镀,原理是利用磁场将高能粒子撞击高纯度靶材料原子, 被撞击出的原子沉积到衬底表面。磁控溅射可提高等离子体密度,对靶材形成更多的溅射并降低衬底温度, 可提高台阶覆盖率,非常好地控制合金比例,产出效率高,广泛应用于 IC 先进工艺的金属化制程。

3)外延:在单晶衬底上生长一层跟衬底具有相同晶格排列的单晶材料,外延层可以是同质外延层(Si/Si), 也可以是异质外延层(SiGe/Si 或 SiC/Si 等)。外延工艺包括分子束外延(MBE)、气相外延(VPE)、液相外延、 化学束外延、离子团束外延、低能离子束外延和金属有机化学气相沉积(MOCVD)等。

MOCVD 是化合物半导体材料制备的关键设备。在 MOCVD 中,超纯气体被注入反应器中并精细计量以 将非常薄的原子层沉积到半导体晶片上,形成材料和化合物半导体的外延。不同于传统的硅半导体,化合 物半导体包含有 III 族和 V 族、II 族和 VI 族、IV 族、V 和 VI 族元素,是目前化合物半导体制备的关键设备, 应用于半导体器件、光学器件、气敏元件、超导薄膜材料、铁电/铁磁薄膜、高介电材料等多种薄膜材料。

薄膜生长设备市场稳定增长,整体市场规模约 124 亿美元。CVD 设备方面,根据 Trendforce,2017 年 全球 CVD 设备市场规模约 84 亿美元,到 2023 年将增长至 89 亿美元。CVD 下游需求如微电子元件、数据 存储、太阳能(3.370, 0.03, 0.90%)产品、切割工具和医疗设备等,其中微电子元件和数据存储分别占比 38%和 24%。

PVD 设备方面,按照 Garter 对 CVD、PVD 和外延设备产值的统计,测算 PVD 设备 2019 年市场规模约 29 亿美元,下游需求以微电子元件和数据存储为主,分别占比 38%和 23%,随着工艺节点往先进制程推进, 带动金属互联层数增,对 PVD 需求也在增加。

外延设备方面,根据 Yole,外延设备市场规模约 9.4 亿美元,预计 2023 年超过 20 亿美元,其中约 70% 为 MOCVD 设备,金属应用于大多数 III-V 化合物半导体外延,例如砷化镓和氮化镓器件。外延设备需求增 长主要受 LED 和电源应用驱动,未来的增长动力主要为电源、激光器、MEMS、Mini/Micro LED 和射频器件。

分设备看,等离子体 CVD 设备市场规模最大。根据 Gartner,等离子体 CVD 设备市场规模最大,占比 33%,溅射 PVD、管式 CVD、非管式 CVD 和 ALD 等设备占比分别为 19%、12%、11%和 11%。全球薄膜生 长设备市场主要被 AMAT、LAM 和 TEL 等厂商主导。CVD 设备方面,AMAT、LAM、TEL 和日立国际电气四 家厂商市占率达 84%。PVD 设备方面,应用材料遥遥领先,独占 74%的市场份额。其他薄膜生长设备方面, 应用材料和泛林半导体两家合计市占率达 53%,国内厂商中微半导体占有 7%的市场份额,主要生产 MOCVD。

MOCVD 设备市场规模在 6-12 亿美元之间,中国是最大的需求市场。根据高工 LED,2015 年至 2017 年中国 MOCVD 设备保有量从 1222 台增至 1718 台,年均复合增长 18%;截止 2018 年,国内 MOCVD 保有 量达 1938 台。中国已成全球 MOCVD 设备最大的需求市场,设备保有量占全球比例已超 40%,据此估计全 球的MOCVD设备需求量在4300台以上。根据Technavio,全球MOCVD市场CAGR将在2021年之前达14%, 市场规模将从2016年的6.15亿美元增长至2021年的11.63亿美元,驱动力为LED显示背光和高功率器件。

美国 Veeco、德国 AIXTRON、日本 NIPPON Sanso 和 Nissin Electric 是起步较早的 MOCVD 设备供应商, 由于日本对 MOCVD 设备实行出口限制政策,全球市场基本被 AIXTRON 和 Veeco 垄断,其市占率分别为 46% 和 27%,中微公司也占有 24%市占率,上升势头显著,其他涉足 MOCVD 设备的企业还有中晟光电等。

薄膜沉积设备国产化率整体较低,PVD 设备较 CVD 设备国产化率高。以长江存储 30k 产能产线招标为 例,CVD 设备方面国产化率很低,仅沈阳拓荆中标 3 台 PECVD,占比 4%;PVD 设备方面,北方华创中标阻 挡层 PVD 3 台,占比 18%,,中标铝垫 PVD 3 台,占比 100%。而从华力集成、华虹无锡厂和积塔半导体产 线的设备招标情况看,薄膜沉积设备的国产化率分别为 6%、16%和 33%。整体来看,CVD 设备的国产化率 约为 2%-5%,PVD 设备的国产化率约为 10%-15%,国产化率仍比较低。

国内厂商中,已有中微半导体、北方华创、沈阳拓荆和中晟光电等在细分领域可实现国产替代。(略)

2.4 热处理环节:12 寸产线国产化率较低,6-8 寸线基本可实现自给

在集成电路制造工艺中,扩散工艺是向硅材料掺杂的传统方法,工艺简单,扩散快,但浓度分布控制 困难;另一种方法是通过离子注入工艺将杂质离子注入圆片表层区域进行掺杂,重复性较好,可选择杂质种类多,掺杂剂量控制准确,但设备昂贵,存在注入损伤。两种方法各有利弊,有各自应用场景。

1)扩散工艺:是向硅材料中引入杂质的传统方法,用于控制圆片衬底中主要载流子的类型、浓度和 分布区域,进而控制衬底的导电性和导电类型。传统的扩散设备主要为卧式扩散炉和立式扩散炉。与离子 注入工艺(低温工艺)不同,扩散工艺为高温工艺(热处理工艺),优点是扩散设备简单、设备成本低、 扩散速率快、掺杂浓度高等;缺点是扩散温度高,扩散浓度分布控制困难,难以实现选择性扩散。卧式扩散炉:是一种大量用于直径小于 200mm 的集成电路扩散工艺的热处理设备,特点是加热炉体、 反应管及承载晶圆的石英舟均成水平放置。优点是片间均匀性较好,广泛应用于分立器件、电力电子、光 电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺;缺点是不能精确控制掺杂浓度的分布,因而 直径 200mm 以上晶圆的扩散工艺逐步被离子注入机取代,但仍少量用于重掺杂工艺。卧式扩散炉可装备 1-5 个工艺炉管,炉管越多,产能越大,超净间的利用效率越高。

立式扩散炉:是一种用于直径 200mm和300mm的集成电路扩散工艺的热处理设备,特点是加热炉体、 反应管及承载晶圆的石英舟均成垂直放置。优点是片内均匀性好、自动化程度高、系统性能稳定,可满足 大规模集成电路生产线的需求,也常用于电力电子(如 IGBT)领域。立式扩散炉的核心技术主要集中在高 精度温度场控制、颗粒控制、微环境微氧控制、系统自动化控制、先进工艺控制及工厂自动化等,其技术 指标与卧式扩散炉差别不大。

2)退火工艺及退火炉:用于氧化、扩散、外延、离子注入、蒸发电极等工艺完成后特定的退火热处理, 主要为了消除晶格缺陷、晶格损伤、除氧/杂质、清洗表面吸附物质、改善表面粗糙度等。退火可分为快速 退火、激光退火和传统炉式退火。传统的管式退火炉应用于小尺寸(直径<200mm)晶圆,立式退火炉和 单片退火炉用于大尺寸(直径≥200mm)晶圆。离子注入后的退火往往使用快速热处理设备进行快速退火。

快速热处理(RTP): 对离子注入后的晶圆进行快速热退火(RTP),即在非常短的时间内将整个晶圆加 热至某一温度(400-1300℃)。对比管式退火炉,具有热预算小、掺杂区域中杂质运动范围小、沾污小合加 工时间短等优点,可采用灯退火、激光退火等能量源,广泛应用于直径 300mm 的高端集成电路制造工艺 中。RTP 设备主要厂商由应用材料、Axcelis、Mattson 和 ASM 等公司垄断,市占率合计约 90%。

3)氧化工艺及氧化炉:是将硅片放置于氧气或水汽等氧化剂氛围中高温热处理,在硅片表面发生化学 反应形成氧化膜,是应用最广泛的 IC 基础工艺之一。氧化膜的用途广泛,可作为离子注入的阻挡层及注入 穿透层(损伤缓冲层)、表面钝化、绝缘栅材料以及器件保护层、隔离层、器件结构的介质层等。氧化设 备包括氧化炉和高温氧化炉,高温氧化炉由于安全和高压系统污染等问题,应用在逐步减少。

在晶圆直径小于 150mm 的 IC 制造邻域,国内扩散设备(卧式扩散炉)基本自给自足,相关厂商包括 北方华创、中电科 48 所等;而直径 300mm 的集成电路制造邻域,立式扩散炉/氧化炉设备依赖进口,主 要厂商有东京电子、日立国际等,国内仅北方华创可以小批量提供立式扩散炉/退火炉/氧化炉/合金炉。

2.5 离子注入环节:光伏离子注入具备优势,IC 领域亟待发力

离子注入机是极大规模集成电路制造工艺中最主要的掺杂设备。与传统热掺杂工艺相比,离子注入工 艺具有剂量均匀性与重复性较好,横向扩散较小的优点,并且它克服了热掺杂工艺的诸多限制,可以满足 浅结、低温和精确控制等要求,提高了集成电路掺杂工艺的质量,降低了成本和功耗。

离子注入工艺是集成电路制造的主要工艺之一。它是指将离子束加速到一定能量(一般在 keV 到 MeV 之间),然后注入固体材料表层内,以改变材料表层物理性质的工艺。在集成电路工艺中,固体材料通常 是硅,而注入的杂质离子通常是硼离子、磷离子、砷离子、铟离子和锗离子等。注入的离子可以改变固体 材料表层电导率或形成 PN 结,当集成电路的特征尺寸缩小到亚微米后,离子注入工艺得到了广泛应用。

按照电流大小或离子能量不同,离子注入机可分为中低电流离子注入机、大电流离子注入机和高能离 子注入机。中低电流离子注入机离子束电流小于 10mA,束流能量小于 180keV,适用于穿通注入;大电流 离子注入机离子束电流在 10mA-25mA 之间,束流能量小于 120keV,适用于超浅源漏区注入;高能离子注 入机束流能量在 200keV 至几个 MeV,适用于沟槽或厚氧化层注入,形成倒掺杂阱和埋层。大电流离子注 入机较为普遍,市场占比约 61%,其次为中低电流离子注入机(20%)和高能离子注入机(18%)。

存储器(先进制程)和成熟工艺是离子注入使用的两大主要领域。存储器尤其是 DRAM 需要大量离子 注入步骤,占据约 44%离子注入设备需求,其中 NAND 需要 37 次离子注入步骤,而 DRAM 需要 55 次离子 注入环节。而成熟制程(28 纳米以上)的产品也占据 41%的离子注入设备需求,尤其是 8 寸晶圆线相关的 CIS、MEMS、模拟、功率、MCU 等产品,壁垒相对稍低,而且国内功率/模拟/CIS 等芯片厂商颇具实力, 未来随着芯片设计和制造份额提升,以上领域是离子注入国产配套机会最为确定的领域。

美国 Eaton 公司和 Varian 公司曾是全球最大的离子注入机制造企业。2002 年,Axcelis 公司从 Eaton 剥 离出来独立上市;2011 年,应用材料耗资 49 亿美元收购了 Varian 公司。Axcelis 近年市场份额逐步提升, 主要与其不断开拓产品线,并且加码 DRAM 等存储环节有关。我们看好本土特色工艺产线、成熟制程产线、 存储产线上,本土离子注入机厂商的切入机会。

离子注入机市场规模约 15 亿美元,全球市场主要被 AMAT 和 Axcelis 两家占据,合计份额达 88%。2018 年全球离子注入机市场规模达到约 15 亿美元,同比增长 12%,2016-2018 年保持两位数高增长。竞争格局 方面,美国的应用材料公司拥有 70%的市场占有率,美国 Axcelis 拥有约 18%的市场份额,其市占率逐年提 升,向应用材料靠近。日本的住友重机械也有离子注入机,工艺节点为 20-22nm,占有 8%份额。

产线上低能大束流离子注入机占有较高比重,其行业高度集中。由于芯片制程微缩化,浅层掺杂需求 凸显,低能大束流设备成为主流,占比约 61%。例如,一条 NAND Flash 产线上,约有 37 台离子注入机, 其中 10 台高能量,20 台大束流,7 台中束流;一条 DRAM 产线上,约需要 55 台离子注入机,其中 3 台高 能量,40 台大束流,12 台中束流;一条逻辑芯片产线上,约需要 30-40 台离子注入机,其中约 25-30 台大 束流,5-10 台中束流。大束流离子注入机主要由三家龙头企业掌控。应用材料收购 Varian 公司成为龙头, 市占率达 40%;其次是 Axcelis,市占率达 32%;第三是 AIBT,市占率达 25%。前三家企业包揽了 97%以上 的市场份额,行业高度集中。

从国内晶圆厂线的招标情况看,应用材料和 Axcelis 等仍占据主导,国产品牌渗透率较低。在国内膜 逻辑产线招标中,离子注入机以应用材料、Axcelis 和住友为主,国内仅中科信中标,占比 1%。而在长江 存储招标信息中,AMAT 和 Axcelis 占据离子注入机所有份额,国内没有厂商中标。国产设备中,北京中科 信和凯世通均有离子注入机产品,工艺制程与国际大厂仍有差距。

2.6 CMP 环节:CMP 设备市场头部集中趋势明显,国产 CMP 设备有所突破

化学机械抛光(CMP)工艺通过化学腐蚀和机械研磨的协同作用,细微地去除硅片表面材料,达到改 善硅片表面形貌质量,提高硅片表面平整度,是目前唯一可同时实现全局平坦化和局部平坦化的技术。CMP 设备可分为多片单面抛光机和多片双面抛光机两种。硅片制造商根据用户需求的不同,将直径小于 200mm 的硅片分为单面抛光机和双面抛光机两种。由于化学机械抛光是一道加工效率低、加工成本较高的工艺过 程,所以直径小于 200mm 的单面抛光片一般是在研磨片基础上对硅片的一个面抛光后形成的产品。制造 工艺上一般采用多片单面抛光机加工,即在一个抛光台上采用多抛光头同时抛光,以提高抛光效率,降低 生产成本。直径 300mm 的硅片主要为双面抛光片,一般采用双面抛光和单面抛光组合工艺。

随着晶圆制程工艺发展,所需 CMP 次数增多,CMP 设备和 CMP 材料的需求也大幅提升。以存储器为 例,3D NAND 的平均 CMP 次数约等于 2D NAND 的两倍,其中针对非钨材料的 CMP 次数超过 60%(集成电 路中用大量的金属钨作为导线以传递电信号,这些金属钨需要抛光处理)。而在晶圆制造的整个环节中, 随着工艺制程从 250nm 微缩到 7nm,CMP 次数合计从 8 次增加到 30 次。

全球CMP设备主要由美国应用材料和日本荏原主导。2018年全球CMP设备市场规模约18.42亿美元, 约占晶圆制造设备 4%的份额,其中大陆 CMP 设备市场规模达 4.59 亿美元,但 90%的高端 CMP 设备均依 赖于进口。根据 Gartner,2017 年,CMP 设备的供应商主要有应用材料、日本 Ebara(荏原)和东京精密。2017 年应用材料 CMP 设备的销售额为 12.45 亿美元,市占率 71%,荏原销售额为 4.67 亿美元,市占率 27%。

CMP 设备市场竞争向头部集中趋势明显,国产 CMP 设备有所突破。在 CMP 设备市场竞争激烈, CMP 设备厂商由 1997 年的 20 家逐渐集中在 2017 年的两家(应用材料和荏原),且 CMP 设备最大的供应商美 国应材的市场份额依然呈现逐年递增的态势。国内目前主要的 CMP 设备供应商为中电科和华海清科,在 长江存储最新的 30k 产能生产线设备招标中,华海清科在硅、二氧化硅材料 CMP 设备中标多台,国产化率 分别达到 33%和 33%,而铜和钨 CMP 设备无国内厂商中标。

2.7 清洗环节:盛美/北方华创/至纯等多厂商布局,国产替代机会较大

清洗:清洗分干法清洗和湿法清洗,这里讨论湿法清洗。湿法清洗是指针对不同的工艺需求,采用特 定的化学药液和去离子水,对晶圆表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、 有机物、金属污染、牺牲层、抛光残留物等物质。清洗设备主要为槽式晶圆清洗机和单片清洗机。

晶圆清洗是晶圆制造中频率最高的工艺,占比达 33%,一个流程中需要多次清洗。根据盛美半导体, 一个完整的工艺流程包括:CMP→清洗→涂胶→光刻→刻蚀→清洗→沉积→清洗→离子注入→清洗→CMP, 该流程往往循环多次,清洗重复达 200 次。相比于干法清洗,湿法清洗更加高效,90%的清洗为湿法清洗。

随着芯片制程不断萎缩,产线对清洗次数和清洗效果的要求不断提升。在过去的 25 年里,芯片制程 每提升一个节点,清洗步骤增加 15%,同时裸片的良率要求持续提升。根据盛美半导体,一条 10 万片/月 的 DRAM 产线,良率提升 1%可为厂商每年提高 3000-5000 万美元的利润。

槽式晶圆清洗机:可同时对多盒晶圆进行清洗,可以做到晶圆干进干出。28nm 及更先进的湿法清洗 对晶圆表面小颗粒的数量及刻蚀均匀性的要求越来越高,槽式晶圆清洗机由于槽体内部化学药液的差异性、 干燥方式,以及与晶圆接触点过多,导致无法满足先进支撑的工艺需求,其在整个清洗流程中占约 20%的 步骤。目前,槽式晶圆清洗机主要由日本 Screen、东京电子和 JET 提供,合计约占 75%的市场份额,单台 售价 100-200 万,高端品牌如如 DNS 和 TEL 的可达 200-300 万美元;韩国 Semes 和 Kctech 也可提供此类设 备,但主要供给韩国;国内提供该设备的有北方华创和至纯科技。

单片清洗设备:在颗粒大小及数量、速度及均一性、金属污染控制、表面粗糙度等方面优于槽式晶圆 清洗机,是 28nm 一下先进制程晶圆的主要清洗设备,应用于 80%的清洗步骤。单片清洗机可分为两类:第一类为单片清洗机,其清洗目标物包括颗粒、有机物、自然氧化层、金属杂质等污染物;第二类为单晶 圆刷洗机,其主要用于去除晶圆表面颗粒。从价格看,8 腔设备 250-300 万美金。12 腔设备在 350-500 万 美金。目前,单片清洗设备主要由日本 Screen、东京电子和美国泛林半导体提供,合计占 70%以上的市场 份额,国内有盛美半导体、北方华创和至纯科技。

清洗设备市场规模约 31 亿美元,日系厂商占主导。从市场空间看,根据盛美半导体和 TMR 的数据, 2018 年清洗设备市场规模约 31 亿美元,预计 2023 年可达 43 亿美元,2018-2023 年 CAGR=6.8%。从市场 竞争看,全球晶圆清洗设备市场主要由 Screen(DNS)、 TEL、KLA、泛林等厂商主导,前三名市占率分别为 54%、23%和 10%。根据 Gartner,Screen 是清洗设备的龙头厂商,在单片清洗设备市场,Screen 市占率高 达 55%,自动清洗台市场,Screen 市占率 50%以上,洗刷机市场,Screen 市占率高达 60%以上。

国内厂商方面,盛美半导体、北方华创和至纯科技可生产槽式晶圆清洗机和单片清洗机。盛美半导体 处于领先地位,生产单片清洗机,在国内有比较深厚客户基础;芯源微侧重单片清洗机,北方华创和至纯 科技槽式和单片均有布局,三者均已获得国内大客户订单,营收规模尚小。(略)

2.8 过程控制环节:国产化率相对较低,本土具备关键尺寸膜厚测量能力

过程控制设备是应用于工艺过程中的测量类设备和缺陷检查类设备的统称。在芯片生产过程中,过程 控制设备要对经过每一道工艺的晶圆进行无损的定量测量和检查,以保证工艺的关键物理参数(如薄膜厚 度、电阻、掺杂浓度、线宽、沟/孔深度、侧壁角、缺陷等)满足工艺指标,发现可能出现的“致命”缺陷 并对其进行分类,剔除不合格的晶圆,避免后续工艺的浪费。过程控制设备是保证芯片生产线快速进入量 产阶段并获取稳定的高成品率和高经济效益关键设备。随着工艺节点的推进和工艺的复杂化,越来越多的 过程控制设备需要穿插在工艺流程中间,以提升芯片的成品率。

过程检测市场与晶圆制造市场景气度有较强的关联,因为过程控制设备是晶圆制造环节必要设备。根 据科磊的数据,晶圆制造市场规模在 2013-2018 年持续增长,2019 年有所萎缩。相应地,过程控制市场规 模 2013-2018 年持续增长,2019 年有所萎缩,市场规模约 50 亿美元。根据 VLSI,2019 年过程控制设备市 场规模达 58 亿美元,约占半导体设备整体市场的 10%,同比 2018 年下滑 1.5%。

过程控制设备中,市场占比较大的有图形晶圆光学检测设备(32%)、掩膜检查设备(13%)、薄膜测 量设备(12%)和关键尺寸扫描电子显微镜(10%),合计占比 67%。竞争格局看,科磊(KLA)是过程控 制设备市场的绝对龙头,其在膜厚测量、套刻误差测量、OCD(光学关键尺寸)测量、无图案检测、有图 案检测和掩膜版检测等细分均有最高市占率,此外,Nova、ASML、Nanomerics 和 AMAT 也占有一定市场。

目前国内先进晶圆生产线的过程控制设备仍以国际厂商产品为主,国内厂商获得的订单仍较少,整体 国产化率还很低。以长江存储 30k 产能产线招标为例,检测设备方面,中科飞测中标 5 台表面形貌检测设 备,占比 100%;测量设备方面,上海睿励中标 2 台膜厚量测设备,占比 7.7%,精测半导体中标 3 台集成 式膜厚关键尺寸量测设备,占比 10%。(略)

三、IC 封装设备国产化相对成熟,测试分选环节正加速突破

3.1 封装设备:国内封装设备从传统往高端突破,全环节配套能力需努力

IC 封装可分为四级,通常的电子封装是指零级和一级封装。IC 封装是利用膜技术及微细加工技术,将 芯片及其他要素在框架或基板上布置、粘贴固定及连接,引出接线端子并通过可塑性绝缘介质灌封固定, 构成整体结构的工艺。一般地,封装可分为四个级别,通常的电子封装指的是零级和一级封装:

1) 零级封装(Wafer level):晶圆级封装,采用检测、减薄、划片等工艺,主要涉及的封装设备有晶圆 探针台、晶圆减薄机、激光切割机、砂轮等;

2) 一级封装(Chip level):单晶片和多晶片组装,采用互连和封装等工艺,传统封装主要涉及的封装设 备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等;先进 封装如 WLCSP 等,还需使用封装用光刻机、凸点制造设备、植球机和金属沉积设备等;

3) 二级封装(Board level,PCB 级封装)和三级封装(System level,整机组装):主要有通孔插装技术 (THT)和表面贴装技术(SMT),涉及的设备有点胶机、回流炉、贴片机、封焊设备、清洗机、自 动光学检测设备等;

按技术发展水平分,IC 封装可分为传统封装和先进封装,先进封装会用到一部分前道设备(晶圆制造 设备)。先进封装技术与传统封装技术可以按是否焊线来区分,先进封装技术包括倒装芯片封装(FC)、扇 出型封装(Fan-out)、晶圆级封装(WLP)、系统级封装(SiP)和三维(3D)封装等非焊线形式,在提升芯 片性能方面展现巨大优势。传统封装工艺大致分为背面减薄、晶圆切割、贴片、引线键合、模塑、电镀、 切筋/成型等工艺,用到减薄机、划片机、焊线机、贴片机、倒装机和回流炉等,而先进封装还会用到光刻、 刻蚀、电镀、PVD、CVD 等前道设备。

封装测试设备市场规模较晶圆制造设备小,2019 年合计约 78 亿美元。全球半导体设备市场中,封装 设备市场较小,封装设备约占 6%,测试设备约占 9%;而在中国半导体设备市场中,封装设备约占 7%, 测试设备约占 10%。根据 SEMI,2010-2018 年全球封装设备市场规模年均增长 6.9%,2018 年全球封装设 备市场规模达到 40 亿美元,2019 年,收到贸易冲突和下游需求不景气的影响,全球封装设备市场规模下 滑 26.58%至 29 亿美元,预计 2019 年回暖增长至 32 亿美元。

半导体封装设备种类繁多,日系、欧美厂商主导市场。封装设备多达十几种,主要有黏片机、划片机、 键合机、减薄机等,其中键合机占比最大达 31%,其次为黏片机,占比 18%,划片机占比 15%。各类封装 设备市场呈寡头垄断格局,如日本 Disco 垄断了全球 80%以上的封装关键设备减薄机和划片机市场,其他 厂商还包括 ASM Pacific、K&S、Besi 等。

国内封装设备整体上处于低端,在高端封装工艺中应用很少,个别机型依靠定制化需求打入市场,尚 未形成批量生产带动高端研发的良性循环,主要原因在于:1)核心零部件“卡脖子”,如气浮主轴限制了 高端减薄机和划片机的发展;2)设备研发投入高,设备试错成本高,难以形成市场反哺研发;3)国产封 装设备可靠性相对较差,客户不接受国产设备,与第 2 点形成恶性循环;4)高端技术人才和团队匮乏。

国内产业高度分散,未出现代表性的企业。根据中国半导体行业协会封装分会统计,2018 年封装设备 与磨具行业销量达 4764 台(套),实现销售收入 17.17 亿元,年产能达 6609 台(套),表中 12 家厂商销量 占比 53.8%,收入占比 62.5%,行业高度分散,尚未出现代表性的龙头企业。

从国内封装设备的研究和发展情况来看,本土产品可满足 LQFN、QFP、IGBT、RFID、WLP 等封装工艺 的需求,部分设备如软焊料装片机和测试分选机达国际先进水平,打入国内封装厂供应链。

先进封装设备国产化率正逐步提高,传统封装设备国产化率较低。根据中国电子专用设备工业协会统 计,目前 12 英寸晶圆先进封装、测试生产线设备中,已有 17 种实现高度国产化,国产化率可达 70%。封 装用光刻机,倒装、刻蚀、PVD、清洗、显影、匀胶等设备均已满足国内先进封装需求,部分实现批量销 售。先进封装用前道设备国产率较高,光刻机、刻蚀机、植球机等超过 50%,但传统封装设备国产化率整 体不超过 10%,主要设备如划片机和键合机等仍然严重依赖进口,传统封装设备是被忽视环节,亟需支持。

分设备来看:

1)键合机:键合机主要包括临时键合/解键合机、晶圆键合机和引线键合机。临时键合/解键合机主要 功能为将圆片临时键合至刚性承载衬底上,以便进行减薄。晶圆键合机主要功能是将圆片互连,并使其达 到一定的界面键合强度。临时键合/解键合机和晶圆键合机的主要厂商为奥地利 EVG 和德国 SUSS,国内则 有深圳创异佳、苏州美图和上海微电子装备。

引线键合机是芯片封装互连核心设备,关键参数为键合精度、工作台定位精度、键合速度、键合间距、 焊线直径、每小时产出(UPH)等。目前全自动键合机主要为 K&S 和 ESEC 两家主导,ASM、SHIKAWA 和 KAIJO 等公司紧随其后,其他种类键合机厂商主要有中电科、大族激光(33.500, 0.93, 2.86%)、北京创世杰科技、深圳开玖自动 化等,国产键合机与国际技术差距仍然较大。中电科 Octopus 系列晶圆键合机在 3D 封装、WLP Fan-out 技 术、多芯片堆叠技术及 Panel 级 Fan-out 技术等先进封装工艺应用,已批量向国内龙头封装厂提供。

2)贴片机(黏片机):主要功能是将芯片安装固定在封装基板或外壳上。国际上贴片机型涵盖 150mm、 200mm 和 300mm 晶圆,主流为 300mm,其关键技术是整机运动控制、芯片拾放和图像识别。对于芯片拾 放,要求速度快、精度高。黏片机的主要厂商包括 Besi、ASM、ECSC、Hoson 等厂商,国内厂商主要有大 连佳峰自动化、上海螣芯电子等,其定位精度、生产效率和适用芯片尺寸与国际厂商产品仍有差距。

3)划片机(切割机):分为砂轮划片机和激光划片机,主要功能为利用砂轮/激光对晶圆等被加工物 进行切割或开槽。砂轮划片机用于 IC、LED、太阳能电池、电阻等,主要国际厂商有日本 Disco、东京精密、 日本 OKAMOTO、以色列 Camtek 等,国内有北京中科电、盛美半导体、上海新阳(53.280, 0.28, 0.53%)、沈阳芯源、苏州伟仕泰 克等。激光划片机精度、效率更高,用于切割晶圆、蓝宝石晶圆、MEMS、薄膜太阳能电池等,主要国际 厂商有日本 Disco、美国 JPSA、瑞士 Synova 等,国内厂商包括中电科 45 所、北京科创源光电、沈阳仪器 仪表工艺研究所、西北机器、汇盛电子、兰州兰新华工激光、大族激光等。

以中电科为代表的的国内厂商,晶圆划片机取得了一定突破。以国产 12 英寸晶圆划片机为例,12 英 寸划片机具有多片切割、效率高、精度高、节约人力成本等特点,国内封装企业迫切需要便宜的 12 英寸 晶圆划片机。中电科在国家“02 专项”的支持下,从 2014 年投入研发 12 英寸划片机,突破双轴结构工作 台桥接技术、大直径薄晶圆传输技术、高刚度气浮主轴技术以及刀痕识别分析系统设计等关键技术。2017 年底在苏州晶方完成工艺验证,经过 2018 年一年的技术积累,在 2019 年取得重要技术突破和市场突破, 实现批量化生产,签订合同金额过千万元。

3.2 测试探针分选设备:长川科技/华峰测控逐渐突破,高端产品正大力布局 (略)

集成电路芯片的生产主要分为 IC 设计、IC 前道制造和 IC 后道封装测试,检测贯穿生产流程的始终。 集成电路检测根据工艺所处的环节可以分为设计验证、前道量检测和后道检测。设计验证用于 IC 设计阶段, 前道量检测贯穿晶圆制造过程始终,后道检测主要运用于晶圆制造之后、IC 封装环节内。

测试机在测试设备中占主要市场,其中 SoC 测试机占比最大。测试机按应用可分为存储器测试机(包 括 DRAM 和 NAND 等非易失性存储器)和非存储器测试机(包括 SoC、数字芯片、模拟/混合电路芯片), 从测试设备市场看,测试机占比最大,合计 64%以上,其中 SoC 测试机占主导,分选机和探针台分别占 16% 和 15%。

从市场空间看,测试机市场快速成长,2018 年达 37 亿美元。根据 VLSI,2018 年全球测试机市场规模 达 37 亿美元,同比增长 25.5%。爱德万预计 2019 年存储器测试机将成为高增长点,存储器测试机市场将 增长 20%,非存储器测试设备市场增长 5%,测算 2019 年全球测试机市场规模达 39.5 亿美元。随着封测产 业开始新一轮景气周期,测试设备进入上升周期。国内模拟测试机市场规模为 4.3 亿元,国内 SoC 类测试 机市场规模约为 8.5 亿元,约为模拟测试机市场 2 倍,本土企业有望从模数混合逐渐向 SoC 测试机突破。

从竞争格局看,测试机市场由国际厂商主导。国际厂商如日本爱德万、美国泰瑞达、科利登和科休等 占主导地位,合计市占率可达 75%。各家厂商侧重不同,泰瑞达在 SoC 测试机领域具有绝对优势,市占率 接近 50%,能够提供模拟、混合信号、存储器及超大规模集成电路测试;爱德万侧重存储器测试机,其存 储器测试机市占率达 60%,SoC 测试机市占率达 35%;科利登和科休则布局测试机和分选机。

国内厂商在模拟/混合电路测试和分立器件测试领域可实现进口替代,国产化率较高,但在存储器和 SoC 测试机邻域实力薄弱。其中华峰测控、长川科技和宏测半导体等模拟/混合电路测试机年出货量接近 700 台,占国内模拟测试机市场份额的 85%,联动科技、宏邦电子分立器件测试机国内市场份额超过 90%。而在 SoC 和存储器邻域,本土企业还尚未形成成熟的产品和市场突破。华峰测控正计划进入 SoC 测试机市 场,其募投项目达产后可实现 200 套 SoC 测试机的产能;长川科技聚焦于模拟/混合电路和大功率测试机;而精测电子和华兴源创则深耕面板检测设备。(略)

考虑到产业转移背景下,本土设备需求增速将显著高于全球,根据测算,我们预计探针台大陆市场规 模将从 18 年 10.8 亿元增长至 2020 年 18 亿元,CAGR 接近 30%,而分选机大陆市场规模有望从 18 年 11.5 亿元增长至 2020 年 22.2 亿元,CAGR 约 40%。

国产替代为本土厂商带来良机。国内测试设备制造龙头自 2012 年以来实现了年复合超过 50%的快速 增长,这种增长主要受益于国产替代,但目前国内 90%以上市场份额仍被海外公司占据。测试设备中,探 针台精度要求在 1 微米级别,因此技术壁垒高,市场被日本东京电子和东京精密垄断,分选机则一定程度 上实现了国产替代,长川科技在国内市场份额约 12%。在国家政策支持本土半导体公司发展的大背景下, 国产替代正在加速推进且空间十分广阔,当前是切入测试设备领域的黄金时期。

探针台国产自给率几乎为 0,本土厂商处于市场导入阶段。国内主要有三家:中电 45 所曾一度在国内 市占率达到 67%,但因技术劣势,市占率被压缩;长川科技已研发 8 英寸和 12 英寸探针台,8 英寸已开始 发货;深圳矽电可量产探针台。分选机方面,本土厂商国内市占率约 12%,国内厂商有一定突破。本土分 选机企业主要有长川科技(重力式和平移式)、华兴源创(平移式、转塔式)、金海通(平移式)、上海中艺(重力式)等。其中,长川科技已通过长电科技(26.720, -0.30, -1.11%)的验证,实现了批量销售,华兴源创实现了小批量销售。(略)

四、硅片设备在 6-8 寸具备自给能力,12 寸大硅片建设带动配套机会

4.1 硅片生长加工设备:长晶炉 8 寸往 12 寸突破,研磨抛光设备国产化较低

硅片制造设备将纯净的多晶硅材料制造成一定直径和长度的硅单晶棒,然后将硅单晶棒经过一系列的 机械加工、化学处理等工序,制造成具有一定几何精度要求的硅片或外延片,为集成电路制造提供所需硅 衬底。硅片制备的一般流程为:晶体生长→滚磨/整形→切片→退火→倒角→研磨→刻蚀→抛光→清洗→检 测,涉及众多专用设备,其中单晶炉、抛光机和检测设备是核心设备,其投资额分别占设备投资的 25%、 25%和 15%。

晶体生长工艺主要有直拉法和区熔法,对应设备为直拉单晶炉和区熔单晶炉。直拉法是目前主要的晶 体生长工艺,目前 85%以上的单晶硅通过直拉法生长。热场系统是直拉单晶炉硅单晶成晶的最重要条件之 一,热场的温度直接影响硅单晶直拉过程和成品单晶的质量,因而热场的结构和效能是直拉单晶炉的核心 技术之一。直拉单晶炉目前的供应商国际上有美国 Linton Crystal 、日本菲洛泰克和德国 PVA TePla,国内 主要有西安理工晶体科技、晶盛机电、京运通(3.270, -0.01, -0.30%)、七星华创等。

区熔法生产的硅单晶纯度较高,但直径较小、工艺成本较高,目前能制备的单晶棒直径最大为 200mm, 主要用于电力电子期间、光敏二极管、射频探测器和红外探测器等,供应商国际上有德国 PVA TePla,国内 有西安理工晶体科技、晶盛机电、京运通。

1)单晶炉市场规模约 30 亿,国产化率较高,可达 77%。国内 8 英寸单晶炉已逐步开始实现国产化替 代,12 寸单晶炉开始小批量生产(国内仅晶盛机电和南京晶能)。代表厂商晶盛机电 8 英寸单晶炉已产业 化,12 寸单晶炉小批量生产,此外还布局硅片制造其他专用设备,成功研发 6-12 英寸晶体滚圆机、截断 机、双面研磨机及 6-8 英寸的全自动硅片抛光机,已逐步批量销售。

2) 抛光机市场规模约20亿,目前国产化率几乎为0。国内抛光机采购依赖日本Speedfam、日本Fujikoshi、 美国 PR Hoffman、德国 Lapmaster 等,国内厂商如晶盛机电成功研发 6-8 英寸抛光机,中电科、苏州赫瑞 特电子、湖南宇晶机器等也有生产。

3)检测设备市场空间巨大,依赖进口,国产化空间较大。检测环节设备与前道测试/过程控制设备贯 穿于芯片制造环节,检测设备在前述章节论述。

晶盛机电:布局硅片环节,包括长晶设备、切片设备、加工设备等。2007 年研制出国内首台全自动直 拉式单晶炉;2009-2011 年承担了 02 专项中“300mm 硅单晶直拉生长装备的开发”和“8 英寸区熔硅单晶 炉国产化设备研制”两个项目;2012 年实现半导体级单晶硅棒的研制,次年成功研制区熔单晶炉;2014 年区熔单晶炉商业化。目前,晶盛机电已成长为国内单晶炉研制的龙头企业,产品应用于光伏、集成电路、 LED 等,客户包括有研半导体、郑州合晶、金瑞泓、天津环欧、中环、宜昌南玻、包头晶澳等,其中中环 为第一大客户。

大硅片单晶炉国内供应商稀少,晶盛机电可供应大尺寸硅片单晶炉,业务布局延伸至磨切抛光等设备。国内仅少数半导体设备厂商能供应单晶炉,仅限于 6-10 英寸小硅片,切大硅片均匀性和缺陷密度等关键 指标达不到客户要求。晶盛机电单晶炉可制备 6-18 英寸硅片,满足下游厂商需求。此外,晶盛机电成功研 发了 6-12 英寸晶体滚圆机、截断机、双面研磨机及 6-8 英寸的全自动硅片抛光机,已逐步批量销售,出货 体量和营收规模相对于晶体生长设备还比较小。

晶体硅生长设备出货和营收年年攀升,毛利维持在高水平。收入方面,晶盛机电晶体硅生长设备, 2018 年实现晶体硅生长设备销售 1344 台,收入 19.40 亿元,同比增长 23.4%。毛利方面,晶盛机电毛利率保持 在 40%-50%的水平,整体稳健。未来随着国产大硅片项目陆续推进,带动晶盛相关硅片设备营收增长。

全球对 12 寸大硅片需求强劲,长期维持供需偏紧态势。而硅片产能和份额主要被日本信越(28%) 、 日本 SUMCO(25%)、中国台湾环球晶(17%)、德国 Siltronic(15%)和韩国 LG Siltron(9%)占据,合计 94% 的份额,而 12 英寸大硅片前五家合计份额高达 98%,其他硅片厂商包括法国 Soitec、上海硅产业、北京有 研、浙江金瑞泓等。硅片尺寸看,12 寸占比 63%,8 寸占比 27%,6 寸占比 10%;下游应用领域看,12 寸 硅片以先进逻辑芯片、存储芯片为主,而 8 寸硅片使用中,23%用于模拟器件,21%用于 MOS 管,17%用 于光电器件,16%用于分立器件。全球硅片市场规模在百亿美金,本土半导体晶圆线产能建设力度较大, 带动对大硅片及国产化的需求,硅片相关设备国产化空间巨大。

2020 年国内对 12 寸大硅片需求预计为 105 万片,对 8 寸硅片需求预计为 96.5 万片,本土规划的 12 寸和 8 寸硅片产线若满产,可完全覆盖国内市场对硅片需求。目前,国内规划中的 12 寸大硅片合计:662 万片,包括:新昇 60 万片,金瑞泓 40 万片,中环领先 52 万片,奕斯伟 50 万片,宁夏银和 20 万片,郑 州合晶 20 万片,有研德州 30 万片,杭州中芯 20 万片,超硅 85 万片、中晶嘉兴 100 万片等。国内规划中 的 8 寸大硅片合计:345 万片,包括:超硅 50 万片,中环领先 105 万片,金瑞泓 52 万片,宁夏银和 50 万片,郑州合晶 20 万片,有研德州 23 万片,杭州中芯 35 万片。

目前规划硅片项目总投资额在 1400 亿,其中设备相关投资约 1100 亿元,如果按照 4-5 年的投资计划 来看,预计年均设备需求在 225 亿元左右。具体看:硅片制造设备—单晶炉 56 亿元;整型设备—滚轮截 断机 25 亿元,切片机 11 亿元,磨片机+倒角机 23 亿元,抛光机 34 亿元,清洗机 23 亿元;检测设备 34 亿元。晶盛机电、北方华创、京运通、中电 45 所等长晶、切磨抛、清洗等环节厂商的硅片设备厂商,有 望受益与本土硅片产线大规模兴建。

五、投资建议

站在 2020 年当下展望未来,疫情虽影响部分下游终端出货节奏及需求,但 5G 商业化正在开启,5G 换机和创新周期长线趋势确定,终端侧和功能端迎来需求增量,核心半导体元件迎来量价提升。另一方面, 19 年半导体景气度逐渐好转,20 年贸易环境和行业供需的不确定性虽有增加,但长维度的半导体产业链 国产替代逻辑正在加速兑现。本土在设计、制造、封测、存储等环节大力布局不断突破,部分领域已追赶 至国际水平。设备和材料环节作为半导体产业链上游核心部分,也正受到重视和扶持,有望加速本土配套。

2019 年全球半导体设备市场约 576 亿美金,大陆地区约 130 亿美金,占比约 22.4%,但泛半导体设备 国产率约16%, IC设备仅为5%,全球Top5设备厂商份额约80%集中度较高。设备投资中晶圆制造约占80%, 封装约占 6%,测试约占 9%。未来三年大陆将新建多条晶圆线,年均设备需求在千亿元,国内半导体设备 企业不断突破呈现营收高速增长趋势,在国内产线的份额不断提升,未来有望持续受益于本土配套机会。

本土企业在特色工艺具备竞争力,存储投资力度大规划明确,先进/成熟制程逐渐进步,晶圆产能新建 给本土设备企业带来配套机会。目前国内设备商 28nm 产线批量供应,14nm 逐步验证,看好国产设备在 28nm 及以上产线机会。我们认为半导体设备国产化容易程度:(1)产品上,功率器件>数字模拟器件>逻 辑芯片;(2)制程上,特色工艺>成熟制程(28nm 及以上)>先进制程;(3)尺寸上,4-6 寸>8 寸> 12 寸。

从技术水平看,刻蚀/镀膜/清洗/CMP/热处理等设备的国产化水平较高,在先进的 28/14nm 晶圆线和 存储线批量应用,份额逐年提升,基本超过 15%;而光刻、涂胶显影、离子注入、量测设备、测试分选等 环节相较国际水平有一定差距,但也有份额突破,不超过 5%。国产光刻机目前最高到 90nm 节点,在功率 等特色工艺线有所突破;离子注入在光伏及 45-22nm 低能大束流方面取得突破;量测设备主要集中在膜厚 等关键尺寸测量上。而其他封测设备如探针台、测试机、分选机等在数字芯片等先进应用上仍有差距。

图文系网络转载,版权归原作者所有。不代表本公众号观点,如涉及作品版权问题,请与我们联系,我们将在第一时间协商版权问题或删除内容!

原标题:《半导体设备行业深度报告:国产突破正加速》

阅读原文

    本文为澎湃号作者或机构在澎湃新闻上传并发布,仅代表该作者或机构观点,不代表澎湃新闻的观点或立场,澎湃新闻仅提供信息发布平台。申请澎湃号请用电脑访问http://renzheng.thepaper.cn。

    +1
    收藏
    我要举报

            扫码下载澎湃新闻客户端

            沪ICP备14003370号

            沪公网安备31010602000299号

            互联网新闻信息服务许可证:31120170006

            增值电信业务经营许可证:沪B2-2017116

            © 2014-2024 上海东方报业有限公司

            反馈